CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 转换 VHDL

搜索资源列表

  1. ADC_TLC549

    0下载:
  2. TLC549的VHDL驱动源码 已测试通过的TLC549的驱动源码 有转换使能和转换完毕标志-TLC549 the VHDL source code has been test driving the driving source through the TLC549 has converted to energy and the conversion complete flag
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:826
    • 提供者:123
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. 13.6

    0下载:
  2. tlc549 VHDL 电压表 FPGA 数模转换-tlc549 VHDL FPGA DAC voltage meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:921811
    • 提供者:喻炜
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. float_fixnumber

    0下载:
  2. 将15位(1,5,9)格式的浮点数转换成18位的定点数-To 15 (1,5,9) floating-point format into 18 fixed points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:374347
    • 提供者:陈晓
  1. 0809

    0下载:
  2. fpga 0809实现da转换的 可以用发光二极管显示 亦可以用数码管显示 -fpga Can realize da conversion Can use light emitting diode with digital display or pipe display
  3. 所属分类:source in ebook

    • 发布日期:2017-04-06
    • 文件大小:40918
    • 提供者:
  1. firlvboqi

    0下载:
  2. fir滤波器设计,是MATLAB设计的vhdl转换-VHDL fir digital filter design, MATLAB-based design of the conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2970
    • 提供者:赵童
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. 5b6b

    0下载:
  2. 5B6B码是光纤数字通信系统中使用比较广泛的一种线路码型! 数据经过5B6B编码和并串转换后在光纤上传输,串行码序列中连续的比特0或比特1的长度不超过5,数据在0和1之间变换的密度很高,并具有直流平衡的特性,有利于接收电路和时钟恢复电路的设计。-5B6B code is used in fiber optic digital communication systems a more extensive line pattern! Data are 5B6B encoding and conver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3162
    • 提供者:王彬
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. DMX512_2_23

    1下载:
  2. 本系统设计利用FPGA设计了一个接在电脑串口上的一个DMX512协议的转接卡,它可以让你的电脑变成一台超强的电脑灯控制台或者调光台、LED控制器等。通过电脑软件,可以控制电脑灯或者其他DMX512协议的设备,比如LED灯、激光灯、PAR灯、DJ设备等等。 本系统还有体积小巧携带方便等特点,足够一般的娱乐场所、多功能厅、会议厅等场所使用,同时采用电脑进行灯光的控制,也可以提升工程的技术含量,显得更高科技。通过简单更改DMX模块的UART部分,还可以将串口转换usb接口,不过由于手头上的FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2223605
    • 提供者:swekey
  1. scale

    0下载:
  2. 可以实现二进制向十进制转换 输出时16进制的 可以用数码管显示-Binary to decimal conversion can be achieved when the 16 hex output LED display can be
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:1349
    • 提供者:梁天尺
  1. sipo8

    0下载:
  2. 串入并出源代码,可进行8位数据的串/并转换。其中包括QUARTUS2的完整工程,有正确的仿真波形供参考。-In series and the source code, can be 8-bit data series/parallel conversion. Including QUARTUS2 complete project,and the correct simulation waveform for reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:217522
    • 提供者:simulin_2008
  1. step_motor

    0下载:
  2. 步进电机是一种能够将电脉冲信号转换成角位移或线位移的机电元件,它实际上是一 种单相或多相同步电动机。单相步进电动机有单路电脉冲驱动,输出功率一般很小,其用途 为微小功率驱动。多相步进电动机有多相方波脉冲驱动,用途很广。使用多相步进电动机时, 单路电脉冲信号可先通过脉冲分配器转换为多相脉冲信号,在经功率放大后分别送入步进电 动机各相绕组。每输入一个脉冲到脉冲分配器,电动机各相的通电状态就发生变化,转子会 转过一定的角度(称为步距角)。正常情况下,步进电机转过的总角度和
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:951
    • 提供者:ken
  1. SCA126T-100130

    0下载:
  2. SCA126T 是瑞芬科技针对工业现场控制领域推出串口输出型双轴倾角传感器,内置高精 度16bit A/D 差分转换器,通过5 阶滤波滤波算法,最终输出双方向的倾角值。 接口可选RS485;RS232 或TTL 电平。由于内置了ADI 公司的高精度数字温感器,所以 输出角度在工作温度范围内都得到了再次修正,保证产品在低温与高置环境下的高重复性。 高的输出速度能达到20 次/秒。产品属于真正工业级产品,性能可靠稳定,扩展性好, 多种输出可供选择。适合应用于各种恶劣工业控制环境-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1287333
    • 提供者:武钢
  1. Exp6_SPI_AD_DA

    0下载:
  2. 用VHDL在SOPC试验箱中实现DA_AD转换,用VHDL硬件描述语言实现处理器CPU-With VHDL SOPC test box in DA_AD realization, with VHDL language processor CPU hardware descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12840930
    • 提供者:jiajinying
  1. test

    0下载:
  2. PPM编码的VHDL实现,可实现8位并行输入数据转换为串行的PPM编码-PPM coded VHDL implementation can be realized 8-bit parallel input data into a serial coded PPM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:353745
    • 提供者:李雨
  1. etd-0407109-183702-81-001[1]

    0下载:
  2. 文章介绍了YUV向RGB颜色空间转换的硬件电路实现算法.在高基乘法算法基础上,建立了参数化高基乘法算法模型,并给出了Verilog HDL描述 小数乘法的整数乘法近似和近似误差给予了详细的讨论.采用乘法单元复用的设计结果将在两个时钟周期内完成YUV向RGB的颜色空间转换.-This paper introduces the YUV to RGB color space conversion hardware algorithm. Matrix multiplication algorithm i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3997496
    • 提供者:jjj
  1. Ethernet

    0下载:
  2. 简易以太网测试仪,连接CPU和传输物理层数据协议转换等-Ethernet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1781557
    • 提供者:李南
  1. 018vhdl-TTL

    0下载:
  2. camera link 借口电路的差分信号转换-camera link pretext differential signal conversion circuit
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:184329
    • 提供者:jim
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 24 »
搜珍网 www.dssz.com